エラー:VHDLコンパイラが終了します

フェニル・シャー|

私はmodelsimを使用しています。簡単なコードを書きましたが、エラーが発生します。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;



entity clk_counter is
port(output    : out   bit;
     clk : in bit
   );
end clk_counter;

architecture rtl of clk_counter_arch is

    signal clock_counter_output_flag: bit;
    constant clock_max_count : integer := 20000;


begin

     process (clock_counter_output_flag, clk,CLK'event )

       variable clock_count : integer := 0; 
       --constant clock_max_count : integer := 20000;
       variable clock_out : bit := 0;
       -- wait until CLK'event and CLK='1';
          begin
              if (CLK'event and CLK='1') then
                  clock_count := clock_count+1;
                  if (clock_count = clock_max_count) then
                      clock_out := 1;
                   else
                       clock_out := 0;  
                  end if
               end if
               clock_counter_output_flag <= clock_out;        
          end process;


END Architecture; 

エラーメッセージ:

 # ** Error: (vcom-11) Could not find work.clk_counter_arch.                    
 #                                                                         
 # ** Error: C:/Modeltech_pe_edu_10.4a/examples/work/src/clk_counter(13):              VHDL Compiler exiting
匿名

エンティティ名はclk_counterであり、clk_counter_archのアーキテクチャrtlを定義しました。したがって、エラーが発生します。clk_counter_archをclk_counterに変更します。

次に、アーキテクチャをendrtlとして終了する必要があります。

また、なぜ2つの追加変数clock_outとclock_counter_output_flagを使用しているのですか?その値をコードの出力として使用する場合は、単に次のように記述します。

if (CLK'event and CLK='1') then
                  clock_count := clock_count+1;
                  if (clock_count = clock_max_count) then
                      output<='1';
                   else
                       output <='0';  
                  end if;
               end if;

この記事はインターネットから収集されたものであり、転載の際にはソースを示してください。

侵害の場合は、連絡してください[email protected]

編集
0

コメントを追加

0

関連記事

分類Dev

Cythonizeは「致命的なエラーC1002:コンパイラはパス2のヒープスペースが不足しています」で終了します

分類Dev

WiXツールセットが終了ダイアログをオーバーライドすると、コンパイルエラーが発生します

分類Dev

定数を追加すると、コンパイラエラーが発生します

分類Dev

Cコンパイルエラー:IDが1つの終了ステータスを返しました

分類Dev

コンパイルエラー:ステートメントの終わりが予想されます

分類Dev

TypeScriptコンパイラが終了コード1で失敗しました

分類Dev

2つのエラー:1。TypeScriptをコンパイルできません2.プロセスがエラーコード100で終了しました

分類Dev

MultiDexを実装すると、コンパイルに時間がかかり、最終的にヒープスペースエラーが発生します

分類Dev

シャープ後エラーが原因でビルドが失敗する:パイプサーバーが終了コード1で途中で終了しました

分類Dev

コマンドラインから「コンパス」をインストールすると、「extconfが失敗しました。終了コード1」というエラーが表示されます。

分類Dev

コードコメントがコンパイラエラーを生成するようになりました

分類Dev

<<演算子がコンパイルエラーをスローします

分類Dev

GCCでコンパイルするとエラーが発生します

分類Dev

Mavenデバッグは警告とエラーを表示しますが、最終的にはコンパイルされます

分類Dev

サブ文字列を抽出しますが、コンパイラエラーが発生します

分類Dev

プログラムはonlineGDBコンパイラで動作しますが、MinGWでコンパイルすると論理エラーが発生します

分類Dev

手動でapueのコードをコンパイルしますが、エラーが発生します

分類Dev

ios swiftアプリのコンパイルエラー:リンカーコマンドが終了コード1で失敗しました

分類Dev

VBAコンパイルエラーが発生しています

分類Dev

コンパイル中にこれらのエラーが発生します

分類Dev

<〜in elm 0.16.0では、コンパイル時エラーが発生します

分類Dev

コマンドの実行中にエラーが発生し、終了します

分類Dev

コマンドの実行中にエラーが発生し、終了します

分類Dev

Windowsではコンパイラエラーが発生しますが、Macでは発生しません

分類Dev

Gradleはprotobufクラスを生成しますが、コンパイルエラーが表示されます

分類Dev

Swift: `var`を使用するとコンパイラ警告が発生し、` let`を使用するとコンパイラエラーが発生しますか?

分類Dev

コンパイラーがラムダ関数のキャストエラーを出します

分類Dev

コンパイルエラーが予想されます

分類Dev

メニューを作成しようとすると、コンパイラエラーが発生します

Related 関連記事

  1. 1

    Cythonizeは「致命的なエラーC1002:コンパイラはパス2のヒープスペースが不足しています」で終了します

  2. 2

    WiXツールセットが終了ダイアログをオーバーライドすると、コンパイルエラーが発生します

  3. 3

    定数を追加すると、コンパイラエラーが発生します

  4. 4

    Cコンパイルエラー:IDが1つの終了ステータスを返しました

  5. 5

    コンパイルエラー:ステートメントの終わりが予想されます

  6. 6

    TypeScriptコンパイラが終了コード1で失敗しました

  7. 7

    2つのエラー:1。TypeScriptをコンパイルできません2.プロセスがエラーコード100で終了しました

  8. 8

    MultiDexを実装すると、コンパイルに時間がかかり、最終的にヒープスペースエラーが発生します

  9. 9

    シャープ後エラーが原因でビルドが失敗する:パイプサーバーが終了コード1で途中で終了しました

  10. 10

    コマンドラインから「コンパス」をインストールすると、「extconfが失敗しました。終了コード1」というエラーが表示されます。

  11. 11

    コードコメントがコンパイラエラーを生成するようになりました

  12. 12

    <<演算子がコンパイルエラーをスローします

  13. 13

    GCCでコンパイルするとエラーが発生します

  14. 14

    Mavenデバッグは警告とエラーを表示しますが、最終的にはコンパイルされます

  15. 15

    サブ文字列を抽出しますが、コンパイラエラーが発生します

  16. 16

    プログラムはonlineGDBコンパイラで動作しますが、MinGWでコンパイルすると論理エラーが発生します

  17. 17

    手動でapueのコードをコンパイルしますが、エラーが発生します

  18. 18

    ios swiftアプリのコンパイルエラー:リンカーコマンドが終了コード1で失敗しました

  19. 19

    VBAコンパイルエラーが発生しています

  20. 20

    コンパイル中にこれらのエラーが発生します

  21. 21

    <〜in elm 0.16.0では、コンパイル時エラーが発生します

  22. 22

    コマンドの実行中にエラーが発生し、終了します

  23. 23

    コマンドの実行中にエラーが発生し、終了します

  24. 24

    Windowsではコンパイラエラーが発生しますが、Macでは発生しません

  25. 25

    Gradleはprotobufクラスを生成しますが、コンパイルエラーが表示されます

  26. 26

    Swift: `var`を使用するとコンパイラ警告が発生し、` let`を使用するとコンパイラエラーが発生しますか?

  27. 27

    コンパイラーがラムダ関数のキャストエラーを出します

  28. 28

    コンパイルエラーが予想されます

  29. 29

    メニューを作成しようとすると、コンパイラエラーが発生します

ホットタグ

アーカイブ