forループ受け渡しパラメーターの複数のonchange効果

Antiwoutertje

javascriptでforループを実行しようとしています。ここでは、ループ/リスト内のすべての要素に対してonChange関数を配置しています。onChangeがトリガーされると、setValidation関数は正しいパラメーターでトリガーする必要があります。

私は今のところ次のコードを試しています

var allConditions = document.getElementsByClassName("condition-container");

for (let i = 0; i < allConditions.length; i++) 
{
    document.getElementById("PropertyPre" + i).onchange = function () 
    {
        setValidation(false, i);
    }
};


function setValidation(firstTime, elementNumber) 
{
   console.log("This is number: " + elementNumber);
}

HTML要素で何かを変更したときの実際の出力PropertyPre0は次のとおりですThis is number 2が、実際にはを返すはずThis is number 0です。

コードを修正する方法を知っている人はいますか?

テール

クラス「condition-container」とID「PropertPre」+ iの3つのdiv要素があると仮定しました(クラスuの数によって異なります)

var allConditions = document.getElementsByClassName("condition-container");

for (let i = 0; i < allConditions.length; i++) 
{
    document.getElementById("PropertyPre" + i).onchange = function () 
    {
        return setValidation(false, i);
    };
};


function setValidation(firstTime, elementNumber) 
{
   console.log("This is number: " + elementNumber);
}
<div class="condition-container">
<div class="condition-container">
<div class="condition-container">
<input id="PropertyPre0">
<input id="PropertyPre1">
<input id="PropertyPre2">

この記事はインターネットから収集されたものであり、転載の際にはソースを示してください。

侵害の場合は、連絡してください[email protected]

編集
0

コメントを追加

0

関連記事

分類Dev

タイプXMVECTORの関数パラメーターの受け渡し

分類Dev

Bash関数へのパラメーターの受け渡し

分類Dev

Verilog関数へのパラメーターの受け渡し

分類Dev

svelte関数へのパラメーターの受け渡し

分類Dev

Matlab関数へのパラメーターの受け渡し

分類Dev

BASH関数へのパラメーターの受け渡し

分類Dev

ループ内の値をパラメータ関数と比較するためのキーの受け渡し

分類Dev

SQL関数パラメーターの受け渡し

分類Dev

Applescriptからターミナルコマンドスクリプトへの複数のパラメータの受け渡し

分類Dev

角度UIルーターでのパラメーターの受け渡し

分類Dev

pthread関数への複数のパラメーターの受け渡しとアクセス

分類Dev

ルーターミドルウェア関数へのパラメーターの受け渡し

分類Dev

複数回の失敗Djangoでのパラメーターの受け渡し(Python)

分類Dev

共通のキーと複数の値を持つAlamofireの受け渡しパラメータ?

分類Dev

AzureARMテンプレートへのパラメーターの受け渡し

分類Dev

Typeid()テンプレート関数のパラメーターの受け渡しのチェック

分類Dev

Verilogモジュールへのパラメーターの受け渡し

分類Dev

Vue.js-子ルートへのパラメーターの受け渡し

分類Dev

javascriptでのコールバック(パラメーターの受け渡し)

分類Dev

関数へのパラメーターの受け渡しとキャスト

分類Dev

匿名関数へのパラメーターの受け渡しJavascript

分類Dev

決定論的変数pymcへのパラメーターの受け渡し

分類Dev

解決関数へのパラメーターの受け渡し

分類Dev

PostgreSQLとSSRS:PostgreSQL関数へのパラメーターの受け渡し

分類Dev

C ++:テンプレートを介した引数の受け渡しと関数パラメーターを介した受け渡し

分類Dev

db.transaction関数を介したパラメーターの受け渡し

分類Dev

DjangoCreateViewへのパラメーターの受け渡し

分類Dev

TestNGXMLへのパラメーターの受け渡し

分類Dev

OPENQUERYへのパラメーターの受け渡し

Related 関連記事

  1. 1

    タイプXMVECTORの関数パラメーターの受け渡し

  2. 2

    Bash関数へのパラメーターの受け渡し

  3. 3

    Verilog関数へのパラメーターの受け渡し

  4. 4

    svelte関数へのパラメーターの受け渡し

  5. 5

    Matlab関数へのパラメーターの受け渡し

  6. 6

    BASH関数へのパラメーターの受け渡し

  7. 7

    ループ内の値をパラメータ関数と比較するためのキーの受け渡し

  8. 8

    SQL関数パラメーターの受け渡し

  9. 9

    Applescriptからターミナルコマンドスクリプトへの複数のパラメータの受け渡し

  10. 10

    角度UIルーターでのパラメーターの受け渡し

  11. 11

    pthread関数への複数のパラメーターの受け渡しとアクセス

  12. 12

    ルーターミドルウェア関数へのパラメーターの受け渡し

  13. 13

    複数回の失敗Djangoでのパラメーターの受け渡し(Python)

  14. 14

    共通のキーと複数の値を持つAlamofireの受け渡しパラメータ?

  15. 15

    AzureARMテンプレートへのパラメーターの受け渡し

  16. 16

    Typeid()テンプレート関数のパラメーターの受け渡しのチェック

  17. 17

    Verilogモジュールへのパラメーターの受け渡し

  18. 18

    Vue.js-子ルートへのパラメーターの受け渡し

  19. 19

    javascriptでのコールバック(パラメーターの受け渡し)

  20. 20

    関数へのパラメーターの受け渡しとキャスト

  21. 21

    匿名関数へのパラメーターの受け渡しJavascript

  22. 22

    決定論的変数pymcへのパラメーターの受け渡し

  23. 23

    解決関数へのパラメーターの受け渡し

  24. 24

    PostgreSQLとSSRS:PostgreSQL関数へのパラメーターの受け渡し

  25. 25

    C ++:テンプレートを介した引数の受け渡しと関数パラメーターを介した受け渡し

  26. 26

    db.transaction関数を介したパラメーターの受け渡し

  27. 27

    DjangoCreateViewへのパラメーターの受け渡し

  28. 28

    TestNGXMLへのパラメーターの受け渡し

  29. 29

    OPENQUERYへのパラメーターの受け渡し

ホットタグ

アーカイブ