如何覆盖 fifor rd/wt 属性?

贾斯拉杰深

我正在尝试编写一个 fiford 写封面点。

module M;

  bit stop;  bit clk; initial while (!stop) #5 clk = ~clk;

  bit A, B, rst;

  initial rst = 0;

  initial begin
    A = 0;
    #20 A = 1;
    #10 A = 0;
    // #10 B = 1;
    #10 B = 0;
    #50 stop = 1;
  end


  // sequence fifo_rd_wt_s(reg sig);
  //  ((|A === 1) |-> s_eventually (|B === 1));
  // endsequence: fifo_rd_wt_s

  property fifo_rd_wt_p(reg sig_clk, reg sig_rst);
    @(posedge sig_clk) disable iff(sig_rst)
    ((|A === 1) |-> s_eventually (|B === 1));
  endproperty: fifo_rd_wt_p


  cover_fifo_read_write: cover property(fifo_rd_wt_p(clk, rst)) $error($sformatf("%0t hit fifo read write", $time)); 
      // else $error($sformatf("%0t did not hit", $time));



   final
     $display("Finished!");

endmodule: M

在运行日志中,我看到它在每个周期都被触发,但这不是我想要的。我希望它每次看到 aA触发B

不知道我错过了什么。

我在这里找到了类似的东西

代码存在于代码中

维克托力诺

我认为你的问题与含义有关。我使用了你的例子并替换为 strong((|A === 1) ##[1:$] (|B === 1)); 它工作正常。

隐含覆盖可能会产生一些意外行为(在您的情况下,它覆盖了前因),使用带有序列的覆盖总是更安全

本文收集自互联网,转载请注明来源。

如有侵权,请联系[email protected] 删除。

编辑于
0

我来说两句

0条评论
登录后参与评论

相关文章

来自分类Dev

如何覆盖JavaScript属性

来自分类Dev

如何覆盖JavaScript属性

来自分类Dev

如何覆盖UIViewController的属性?

来自分类Dev

如何覆盖抽象属性?

来自分类Dev

如何覆盖属性类型?

来自分类Dev

流利的:如何覆盖时间属性

来自分类Dev

流利的:如何覆盖时间属性

来自分类Dev

如何覆盖SonataUser实体的属性和形式?

来自分类Dev

如何覆盖Enterprise Architect默认属性窗口

来自分类Dev

如何覆盖* {list style:none}属性

来自分类Dev

如何在Swift中覆盖属性?

来自分类Dev

如何“覆盖”原型上定义的(获取)属性?

来自分类Dev

如何覆盖符合KVO的属性的吸气剂?

来自分类Dev

如何使用继承的类型覆盖接口属性

来自分类Dev

如何覆盖EmberJS中的属性绑定?

来自分类Dev

JPA:如何覆盖@Embedded属性的列名

来自分类Dev

如何覆盖子类中的@Autowired属性

来自分类Dev

如何使父级属性不覆盖后代

来自分类Dev

如何覆盖默认的JsonConverter(在属性中指定)

来自分类Dev

如何覆盖Typescript中交集类型的属性?

来自分类Dev

如何防止对象属性被覆盖

来自分类Dev

如何覆盖SonataUser实体的属性和形式?

来自分类Dev

如何覆盖 CLLocation 子类中的只读属性?

来自分类Dev

如何使用新类型覆盖属性?

来自分类Dev

如何覆盖属性内的事件处理程序?

来自分类Dev

如何覆盖任何 Jenkins 插件的 Css 属性

来自分类Dev

如何使用父.class属性覆盖子元素属性

来自分类Dev

如何通过命令行参数覆盖属性文件的值?

来自分类Dev

如何禁用should.js覆盖“ should”属性?