在 SystemVerilog 中制作二维数组/矩阵

亚历山大·科斯托维奇

我在 SystemVerilog 中制作了一个 2D 数组,但是 modelsim 编译时出错,所以现在我的问题是为什么?

这是我正在尝试制作的矩阵乘法和累加单元的代码:

module matrix_mac_unit
(//ports

 input  clock,
 input  reset,
 input  enable,
 input  clear,
 input  [7:0] matrix_1 [0:3][0:3],
 input  [7:0] matrix_2 [0:3][0:3],
 output [7:0] result   [0:3][0:3]
);

 logic [7:0] accumulator [0:3][0:3];

//sequential logic
always_ff @(posedge clock) begin
  if (!reset) begin
      accumulator <= 0;
  end
  else if (clear) begin
      accumulator <= 0;
  end
  else begin
      accumulator <= result;
  end

end

//combinational logic
assign result = enable ? matrix_1 * matrix_2 + accumulator : 
accumulator;

endmodule

模型说:

unit.sv(40):(vlog-2110) 非法引用网络数组“matrix_1”。

unit.sv(40):(vlog-2110) 非法引用网络数组“matrix_2”。

unit.sv(40):(vlog-2990) 对解压类型的非法操作。

unit.sv(40):(vlog-2110) 非法引用内存“累加器”。

unit.sv(40):(vlog-2990) 对解压类型的非法操作。

所以我的问题是你如何在 SystemVerilog 中声明一个矩阵?

戴夫_59

SystemVerilog 不做矩阵乘法。您需要将方程扩展为单个元素。那里有很多例子。这是一个:http : //verilogcodes.blogspot.com/2015/11/verilog-code-for-matrix-multiplication.html

本文收集自互联网,转载请注明来源。

如有侵权,请联系[email protected] 删除。

编辑于
0

我来说两句

0条评论
登录后参与评论

相关文章

来自分类Dev

SystemVerilog:将两个一维数组转换为二维数组

来自分类Dev

systemVerilog中数组的解释

来自分类Dev

Systemverilog接口突破数组

来自分类Dev

在SystemVerilog中约束整个对象

来自分类Dev

SystemVerilog中的随机WIDTH掩码

来自分类Dev

systemVerilog中的按位求反

来自分类Dev

在SystemVerilog中约束整个对象

来自分类Dev

SystemVerilog中的随机WIDTH掩码

来自分类Dev

在 Tensorflow 中制作变量的二维块矩阵

来自分类Dev

从矩阵制作二维二维图

来自分类Dev

SystemVerilog中的struct切片数组

来自分类Dev

SystemVerilog 中解包数组元素的单独绑定

来自分类Dev

如何在 Java 矩阵(二维数组)中围绕 10 x 10 0 的矩阵制作 1 的“边界”

来自分类Dev

C ++制作二维布尔矩阵

来自分类Dev

C ++制作二维布尔矩阵

来自分类Dev

R中的二维数组列表上的矩阵乘法

来自分类Dev

在 C 中对二维数组(矩阵)进行排序

来自分类Dev

SystemVerilog数组是按值或引用传递的吗?

来自分类Dev

排序SystemVerilog关联数组的最佳方法?

来自分类Dev

具有不同输入的systemverilog接口数组

来自分类Dev

systemverilog解压缩数组并置

来自分类Dev

SystemVerilog数组是按值或引用传递的吗?

来自分类Dev

在Systemverilog中使用宏创建结构数组

来自分类Dev

systemverilog 使用数组作为模块/函数的端口

来自分类Dev

如何在SystemVerilog中内联正确声明N维队列?

来自分类Dev

numpy:二维数组矩阵的大小?

来自分类Dev

以二维数组显示矩阵

来自分类Dev

组合电路,Verilog或SystemVerilog中的互连模块

来自分类Dev

systemverilog中的over reg有什么优势?