Lattice FPGA 内部时钟

用户169808

我正在尝试配置格子 MachX03 的内部振荡器。我阅读了 MachXO3 sysCLOCK PLL 设计和使用指南*,并尝试使用文档第 31 页上的 vhdl 代码,但我在 COMPONENT 附近不断收到此错误 (VHDL-1261) 语法错误。有人可以告诉我如何使用 VHDL 使时钟正常工作吗?这是我尝试使用的代码:

LIBRARY lattice;

library machXO3;
use machXO3.all;

COMPONENT OSCH
   GENERIC(
         NOM_FREQ: string := "53.20"); --53.20MHz, or can select other supported frequencies
   PORT(
         STDBY    : IN  STD_LOGIC;     --'0' OSC output is active, '1' OSC output off
         OSC      : OUT STD_LOGIC;     --the oscillator output
         SEDSTDBY : OUT STD_LOGIC);    --required only for simulation when using standby
END COMPONENT;


OSCInst0: OSCH
   GENERIC MAP (NOM_FREQ  => "53.20")
   PORT MAP (STDBY => '0', OSC => clk, SEDSTDBY => OPEN);

这是手册中的代码:

library machXO3;
use machXO3.all;

COMPONENT OSCH
-- synthesis translate_off
  GENERIC (NOM_FREQ: string := "2.56");
-- synthesis translate_on
  PORT (STDBY:INstd_logic;
          OSC:OUTstd_logic;
     SEDSTDBY:OUTstd_logic);
END COMPONENT;

  attribute NOM_FREQ : string;
  attribute NOM_FREQ of OSCinst0 : label is "2.56";

begin
OSCInst0: OSCH
-- synthesis translate_off
  GENERIC MAP( NOM_FREQ => "2.56" )
-- synthesis translate_on
  PORT MAP (STDBY=> stdby,
  OSC => osc_int,
  SEDSTDBY => stdby_sed
);

* http://www.latticesemi.com/view_document?document_id=50124

用户169808

使用内部Osc基本上使用菜单中的代码,如上所述。要获得一个简单的 osc 工作,请在 vhdl 中写入以下内容。代码设置了一个 2.56 Mhz 的时钟,这是内部时钟可以产生的最慢的时钟。内部发生器可以输出的最高频率是133 Mhz,参考文档http://www.latticesemi.com/view_document?document_id=50124的第30-20页

library  ieee;
use  ieee.std_logic_1164.all;

-- For Main Clock --
library machXO3l;
use machXO3l.all;
--------------------

entity Clock is
     port (stdby : in std_logic;
           osc_int: out std_logic
           );
end Clock;

architecture Clock_behav of Clock is

    COMPONENT OSCH
    -- synthesis translate_off
        GENERIC (NOM_FREQ: string := "2.56");
    -- synthesis translate_on
        PORT (STDBY : IN std_logic;
              OSC : OUT std_logic
                );
    END COMPONENT;
attribute NOM_FREQ : string;
attribute NOM_FREQ of OSCinst0 : label is "2.56";

begin

    Clock: OSCH
    -- synthesis translate_off
    GENERIC MAP( NOM_FREQ => "2.56" )
    -- synthesis translate_on
    PORT MAP (  STDBY => stdby,
                OSC => osc_int
    );

end Clock_behav;

本文收集自互联网,转载请注明来源。

如有侵权,请联系[email protected] 删除。

编辑于
0

我来说两句

0条评论
登录后参与评论

相关文章

来自分类Dev

为什么在FPGA设计中使用相同速度的多个时钟?

来自分类Dev

时钟限制VHDL Vivado FPGA的place_design错误

来自分类Dev

Sdram有内部时钟吗?

来自分类Dev

在 spartan 3e 1600e fpga 套件中使用内部 ADC

来自分类Dev

如何强制Ubuntu使用BIOS内部时钟而不是NTP或它使用的任何其他时钟?

来自分类Dev

如何强制Ubuntu使用BIOS内部时钟而不是NTP或它使用的任何其他时钟?

来自分类Dev

在FPGA器件上编程

来自分类Dev

FPGA中的热管理

来自分类Dev

使用FPGA的高速设计

来自分类Dev

在FPGA器件上编程

来自分类Dev

能够对FPGA进行编程

来自分类Dev

如何保持Debian内部时钟同步(与NTP服务器)?

来自分类Dev

如何保持Debian内部时钟同步(与NTP服务器)?

来自分类Dev

虚拟机内部的Ubuntu:ntpd或ntpdate或??? 避免时钟漂移

来自分类Dev

Installing lattice in R: "Error : Invalid DESCRIPTION file"

来自分类Dev

Lattice grouped dot plot with conditional fill color

来自分类Dev

Lattice Diamond 项目中的多个 VHDL 文件

来自分类Dev

了解FPGA的并行性

来自分类Dev

FPGA的低通滤波器

来自分类Dev

Netezza DISK_FPGA_ERROR

来自分类Dev

如何使用FPGA写入文件

来自分类Dev

如何使用FRC N分频来计算dsPIC内部时钟(Fosc)?

来自分类Dev

(VHDL)如何在一个时钟内部分分配求和结果

来自分类Dev

本地 Android Firestore 实例是否将其内部时钟与服务器同步?

来自分类Dev

相当于Lattice中的facet_wrap

来自分类Dev

在R-Studio中使用Lattice panel.identify

来自分类Dev

R Lattice就像使用Python,Pandas和Matplotlib的情节

来自分类Dev

对应于Complete Boolean Lattice * Q_n *的程序

来自分类Dev

所有组合的igraph graph.lattice(在R中)

Related 相关文章

热门标签

归档