实例化模块时,何时应放置“点”?

紫外线磁

在示例中,当我按“ enc_en”所示放置点时出现错误,我的实现是否有问题?

module some_top_module();
....
logic [NOF_PORTS-1:0] wr_en_vec;
logic [NOF_PORTS-1:0] rd_en_vec;
logic enc_en;
encoder #(.IN_W(ADDR_WIDTH)) enc(avalon_aligned_if.slave.ext, .enc_en, .wr_en_vec);
...
endmodule

module encoder #(parameter IN_W = 2)(enc_in, enc_en, enc_out);

function integer expb2 (input [31:0] value);
for (expb2  = 1; value > 0; expb2  = expb2 << 1) begin
value = value -1;
end
endfunction

localparam OUT_W = expb2(IN_W);

input logic [IN_W-1:0] enc_in;
input logic enc_en;
output logic [OUT_W-1:0] enc_out;
 ...
 ...
 endmodule
环面

您的语法是非法的。VCS产生有用的错误:

  The two types of module port connections, by ordered list and by name, shall
  not be mixed.

没有前导 .,则使用有序端口列表,而有前导.,则按名称使用端口列表。

encoder #(.IN_W(ADDR_WIDTH)) enc(avalon_aligned_if.slave.ext, .enc_en, .wr_en_vec);
//                                 by-order                    by-name

请参阅IEEE Std 1800-2017中的23.3.2模块实例化语法

本文收集自互联网,转载请注明来源。

如有侵权,请联系[email protected] 删除。

编辑于
0

我来说两句

0条评论
登录后参与评论

相关文章

来自分类Dev

何时实例化JVM实例?

来自分类Dev

将对象作为参数传递时,实例化何时发生

来自分类Dev

当实例化lua对象的多个实例时,何时使用require vs new?

来自分类Dev

何时实例化VBA变量

来自分类Dev

无效的模块实例化

来自分类Dev

未捕获的错误:[$ injector:modulerr]由于以下原因,无法实例化模块匹配点:

来自分类Dev

未捕获的错误:[$ injector:modulerr]由于以下原因,无法实例化模块匹配点:

来自分类Dev

业力:已经实例化测试模块时无法配置测试模块

来自分类Dev

用状态实例化模块

来自分类Dev

Verilog:如何实例化模块

来自分类Dev

无法实例化模块ngRoute

来自分类Dev

AngularJS无法实例化模块

来自分类Dev

无法实例化模块AngularJS

来自分类Dev

无法实例化模块maq

来自分类Dev

无法实例化模块firebase

来自分类Dev

无法实例化模块ngMaterial

来自分类Dev

重新定义方法时应何时起作用?

来自分类Dev

Python定义何时应返回字典时返回“设置”?

来自分类Dev

定义域时,何时应添加不为null的约束?

来自分类Dev

使用LOAD CSV时应何时选择“ ON CREATE SET ...”

来自分类Dev

活动的实例变量何时初始化?

来自分类Dev

难忘的工厂:构造函数何时实例化?

来自分类Dev

Ember:控制器何时实例化

来自分类Dev

AngularJS模块和子模块实例化

来自分类Dev

实例化JVM的实例时?

来自分类Dev

可以将实例化点延迟到翻译单元结束时吗?

来自分类Dev

实例化模块内部的类时,超出了最大调用堆栈

来自分类Dev

当存在多个“ ng-app”指令时,“未捕获的错误:[$ injector:modulerr]无法实例化模块”

来自分类Dev

何时应启用HSTS?

Related 相关文章

热门标签

归档